発表 Publication

論文一覧(2005年度)

32 黒田忠広,
"チップ間を1Tビット/秒で伝送できる無線通信技術を開発 磁界結合を利用,"
Nikkei Electronics, pp. 137-148, Mar. 2006.
pdf
31 黒田忠広,
"LSI回路設計技術,"
電子情報通信学会誌, vol. 89, no. 2, pp. 96-101, Feb. 2006.
pdf
30 N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago,
M. Fukaishi, T. Sakurai, and T. Kuroda,
"A 1Tb/s 3W Inductive-Coupling Transceiver for Inter-Chip Clock and Data Link,"
IEEE International Solid-State Circuits Conference (ISSCC'06), Dig. Tech. Papers,
pp. 424-425, Feb. 2006.
pdf
29 Y. Tomita, H. Tamura, M. Kibune, J. Ogawa, K. Gotoh, and T. Kuroda,
"A 20Gb/s Bidirectional Transceiver Using a Resistor-Transconductor Hybrid,"
IEEE International Solid-State Circuits Conference (ISSCC'06), Dig. Tech. Papers,
pp. 518-519, Feb. 2006.
pdf
28 N. Miura, D. Mizoguchi, M. Inoue, T. Sakurai, and T. Kuroda,
"A 195-Gb/s 1.2-W inductive inter-chip wireless superconnect with transmit power control scheme for 3-D-stacked system in a package,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 41, no. 1, pp. 23-34, Jan. 2006.
pdf
27 A. Kumar, N. Miura, M. Muqsith, and T. Kuroda,
"Active Crosstalk Cancel for High-Density Inductive Inter-Chip Wireless Communication,"
International Conference on VLSI Design, pp. 137-148, Jan. 2006.
pdf
26 T. Kuroda,
"System LSI: Challenges and Opportunities (Keynote),"
4th EU-Japan Joint Symposium on Plasma Processes, Jan. 2006.
25 S. Miura, Y. Zhan, and T. Kuroda,
"Evaluation of Parking Search using Sensor Network,"
IEEE International Symposium on Wireless Pervasive Computing 2006, Jan. 2006.
pdf
24 三浦典之, 黒田忠広,
"195Gb/s 1.2W 三次元積層チップ間誘導結合インタフェース,"
第9回システムLSIワークショップ, Nov. 2005.
pdf
23 "Si貫通 チップの構造革命,"
Nikkei Electronics, pp. 82-99, Oct. 2005.
pdf
22 T. Kuroda,
"Power Reduction in High-Speed Inter-Chip Data Communications,"
IEEE 6th International Conference on ASIC (ASICON 2005), pp. 3-7, Oct. 2005.
pdf
21 黒田忠広,
"2005 IEEE Symposium on VLSI Circuits Report,"
Electronic Journal, pp. 78-79, Oct. 2005.
pdf
20 D. Mizoguchi, N. Miura, Y. Yoshida, N. Yamagishi, and T. Kuroda,
"Measurement of Inductive Coupling in Wireless Superconnect,"
International Conference on Solid State Devices and Materials (SSDM'05), pp. 670-671, Sep. 2005.
pdf
19 黒田忠広, 眞田幸俊,
"ユビキタス・コンピューティングのための低コストで低電力な短距離ワイヤレス接続技術,"
STARC技術移転セミナー, Sep. 2005.
18 黒田忠広,
"ユビキタス・コンピューティングのための低コストで低電力な短距離ワイヤレス接続技術,"
STARCワークショップ, Sep. 2005.
17 T. Kuroda,
"Power-aware Data Communications,"
FLA Special Seminar, Sep. 2005.
16 T. Kuroda,
"System LSI: Challenges and Opportunities,"
COE 4th Hiroshima International Workshop, pp. 11-21, Sep. 2005.
15 Y. Okaniwa, H. Tamura, M. Kibune, D. Yamazaki, T. S. Cheung, J. Ogawa,
N. Tzartzanis, W. W. Walker, and T. Kuroda,
"A 40-Gb/s CMOS clocked comparator with bandwidth modulation technique,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 40, no. 8, pp. 1680-1687, Aug. 2005.
pdf
14 M. Muqsith and T. Kuroda,
"A CMOS Impulse Radio Ultra-Wideband Transceiver for 1Mb/s Data Communications and
±2.5cm Range Findings,"
The 2nd KAIST-KEIO-TSINGHUA University VLSI Design & SoC Workshop, Aug. 2005.
13 H. Tsuji and T. Kuroda,
"A Study on a Hybrid Architecture A/D Converter,"
The 2nd KAIST-KEIO-TSINGHUA University VLSI Design & SoC Workshop, Aug. 2005.
12 S. Miura and T. Kuroda,
"A Study on Parking Search using Wireless Sensor Network,"
The 2nd KAIST-KEIO-TSINGHUA University VLSI Design & SoC Workshop, Aug. 2005.
11 M. Kusaka and T. Kuroda,
"A Study on Real-time Face Detection LSI Using Genetic Algorithm,"
The 2nd KAIST-KEIO-TSINGHUA University VLSI Design & SoC Workshop, Aug. 2005.
10 N. Miura and T. Kuroda,
"A 195Gb/s 1.2W 3D-Stacked Inductive Inter-Chip Wireless Superconnect with
Transmit Power Control Scheme,"
The 2nd KAIST-KEIO-TSINGHUA University VLSI Design & SoC Workshop, Aug. 2005.
9 Y. Tomita and T. Kuroda,
"A 10Gb/s Receiver with Series Equalizer and On-chip ISI Monitor in 0.11um CMOS,"
The 2nd KAIST-KEIO-TSINGHUA University VLSI Design & SoC Workshop, Aug. 2005.
8 黒田忠広,
"2005 VLSI 回路シンポジウム報告,"
「応用物理」第74巻第9号(2005年9月号)ぶらっくぼーど欄, p. 1249, Sep. 2005.
7 黒田忠広,
"2005 VLSI サーキットシンポジウム報告,"
工業調査会『電子材料』, pp. 74-75, Sep. 2005.
6 黒田忠広,
"2005 IEEE Symposium on VLSI Circuits Report,"
Electronic Journal, pp. 62-63, July 2005.
pdf
5 T. Terada, S. Yoshizumi, Y. Sanada, and T. Kuroda,
"A CMOS Impulse Radio Ultra-Wideband Transceiver for
1Mb/s Data Communications and ±2.5cm Range Findings,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 30-33, June 2005.
pdf
4 三浦典之, 溝口大介, 井上眞梨, 桜井貴康, 黒田忠広,
"195Gb/s 1.2W 電力制御機能付き3次元積層型誘導結合無線超配線,"
電子情報通信学会技報 vol. 105, no. 96, ICD2005-28~39, pp. 45-50, May 2005.
pdf
3 D. Mizoguchi, N. Miura, M. Inoue, and T. Kuroda,
"Design of Transceiver Circuits for NRZ Signaling in Inductive Inter-chip Wireless Superconnect,"
2005 International Conference on Integrated Circuit Design and Technology (ICICDT),
pp. 59-62, May 2005.
pdf
2 Y. Tomita, M. Kibune, J. Ogawa, W. Walker, H. Tamura, and T. Kuroda,
"A 10-Gb/s receiver with series equalizer and on-chip ISI monitor in 0.11-um CMOS,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 40, no. 40, pp. 986-993, Apr. 2005.
pdf
1 N. Miura, D. Mizoguchi, T. Sakurai, and T. Kuroda,
"Analysis and design of inductive coupling and transceiver circuit for inductive inter-chip wireless superconnect,"
IEEE Journal of Solid-State Circuits (JSSC), vol.40, no.4, pp. 829-837, Apr. 2005.
pdf