発表 Publication

論文一覧(2006年度)

27 Y. Tomita, H. Tamura, M. Kibune, J. Ogawa, K. Gotoh, and T. Kuroda,
"A 20-Gb/s simultaneous bidirectional transceiver using a resistor-transconductor hybrid in 0.11-µm CMOS,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 42, no. 4, pp. 627-636, Mar. 2007.
pdf
26 黒田忠広,
"超低電力短距離ワイヤレス可動情報システム,"
電子情報通信学会誌, vol. 90, no. 3, pp. 191-195, Mar. 2007.
pdf
25 N. Nedovic, N. Tzartzanis, H. Tamura, F. Rotella, M. Wiklund, Y. Mizutani, Y. Okaniwa, T. Kuroda, J. Ogawa, W. Walker,
"A 40-to-44Gb/s 3x— Oversampling CMOS CDR/1:16 DEMUX,"
IEEE International Solid-State Circuits Conference (ISSCC'07), Dig. Tech. Papers,
pp. 224-225, Feb. 2007.
pdf
24 N. Miura, H. Ishikuro, T. Sakurai, and T. Kuroda,
"A 0.14pJ/b Inductive-Coupling Inter-Chip Data Transceiver with
Digitally-Controlled Precise Pulse Shaping,"
IEEE International Solid-State Circuits Conference (ISSCC'07), Dig. Tech. Papers,
pp. 264-265, Feb. 2007.
pdf
23 H. Ishikuro, T. Sugahara, and T. Kuroda,
"An Attachable Wireless Chip Access Interface for Arbitrary Data Rate by Using Pulse-Based Inductive-Coupling through LSI Package,"
IEEE International Solid-State Circuits Conference (ISSCC'07), Dig. Tech. Papers,
pp. 360-361,608, Feb. 2007.
pdf
22 N. Miura, T. Sakurai, and T. Kuroda,
"Crosstalk countermeasures for high-density inductive-coupling channel array,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 42, no. 2, pp. 410-421, Feb. 2007.
pdf
21 N. Miura, D. Mizoguchi, M. Inoue, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, and T. Kuroda,
"A 1Tb/s 3W inductive-coupling transceiver for 3D-stacked inter-chip clock and data link,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 42, no. 1, pp. 111-122, Jan. 2007.
pdf
20 N. Miura and T. Kuroda,
"A 1Tb/s 3W Inductive-Coupling Transceiver Chip,"
12th Asia and South Pacific Design Automation Conference (ASP-DAC'07), pp. 92-93, Jan. 2007.
19 D. Mizoguchi, N. Miura, H. Ishikuro, and T. Kuroda,
"Constant Magnetic Field Scaling in Inductive-Coupling Data Link,"
International Conference on Solid State Devices and Materials (SSDM'06), pp. 606-607, Sep. 2006.
18 K. Onizuka, H. Kawaguchi, M. Takamiya,T. Kuroda, and T. Sakurai,
"Chip-to-Chip Inductive Wireless Power Transmission System for SiP Applications,"
in Proc. IEEE Custom Integrated Circuits Conference (CICC’06), pp. 575-578, May 2006.
pdf
17 黒田忠広, 井上眞梨,
"研究成果が社会に浸透する姿を目の当たりにできる最先端技術の世界"
河合塾、栄冠めざしてSPECIAL特集号, p. 81, 2006.
pdf
16 T. Kuroda,
"Future Digital Link,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'06), p. 454, Oct. 2006.
15 黒田忠広,
"2006 IEEE Symposium on VLSI Circuits報告,"
低消費電力・高速LSI技術懇談会, Sep. 2006.
14 三浦典之,
"慶應黒田研VDEC試作チップデザインレビュー:三次元積層チップ間誘導結合トランシーバ,"
VDECデザイナーフォーラム, Sep. 2006.
13 三浦典之, 黒田忠広,
"90nm CMOS 誘導結合トランシーバ,"
VDEC ASPLA応募スキーム報告会, Sep. 2006.
12 T. Kuroda and N. Miura,
"Perspective of Low-Power and High-Speed Wireless Inter-Chip Communications for
SiP Integration (Plenary),"
2006 European Solid-State Circuits Conference (ESSCIRC'06), Dig. Tech. Papers,
pp. 3-6, Sep. 2006.
pdf
11 N. Miura, Y. Nakagawa, M. Tago, M. Fukaishi,
T. Sakurai, and T. Kuroda,
"A 1Tb/s 3W Inductive-Coupling Transceiver for 3D ICs,"
2006 Intenational PhD Workshop on SoC (IPS), July 2006.
10 Y. Hori, M. Kusaka, and T. Kuroda,
"A 0.79mm2 29mW Real-Time Face Detection Core,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 188-189, June 2006.
pdf
9 T. Shibasaki, H. Tamura, K. Kanda, H. Yamaguchi, J. Ogawa, and T. Kuroda,
"A 20-GHz Injection-Locked LC Divider with a 25-% Locking Range,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 212-213, June 2006.
pdf
8 M. Inoue, N. Miura, K. Niitsu, Y. Nakagawa, M. Tago, M. Fukaishi, T. Sakurai, and T. Kuroda,
"Daisy Chain for Power Reduction in Inductive-Coupling CMOS Link,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 80-81, June 2006.
pdf
7 富田安基, 田村泰孝, 木船雅也, 小川淳二, 後藤公太郎, 黒田忠広,
"Resister-Transconductorハイブリッド回路を用いた20Gb/s同時双方向送受信回路,"
電子情報通信学会技報 vol. 106, no. 71, ICD2006-39, pp. 101-104, May 2006.
pdf
6 三浦典之, 溝口大介, 井上眞梨, 新津 葵一, 中川源洋, 田子雅基, 深石宗生, 桜井貴康, 黒田忠広,
"1Tb/s 3W チップ間誘導結合クロックデータトランシーバ,"
電子情報通信学会技報 vol.106, no.71, ICD2006-22~39, pp. 95-100, May 2006.
pdf
5 黒田忠広,
"夢を形に、世界へ発信。"
SEAJ Journal 2006. 5 No. 102, pp. 35-37, May 2006.
pdf
4 三浦典之, 黒田忠広,
"1Tb/s 3W 三次元積層チップ間誘導結合インタフェース,"
第8回LSI IPデザインアワード, May 2006.
pdf
3 D. Mizoguchi, N. Miura, Y. Yoshida, N. Yamagishi, and T. Kuroda,
"Measurement of Inductive Coupling in Wireless Superconnect,"
Japanese Journal of Applied Physics (JJAP), vol. 45, no. 4B, pp. 3286-3289, Apr. 2006.
2 T. Terada, S. Yoshizumi, M. Muqsith, Y. Sanada, and T. Kuroda,
"A CMOS ultra-wideband impulse radio transceiver for 1-Mb/s data communications and 2.5-cm range finding,"
IEEE Journal of Solid-State Circuits (JSSC), pp. 891-898, Apr. 2006.
pdf
1 黒田忠広,
"パネル討論: Lifestyle Revolution Brought by Emerging Technology,"
NECテクノロジーフォーラム, Apr. 2006.