発表 Publication

論文一覧(2007年度)

32 J. Nishimura, N. Sato, and T. Kuroda,
"Speech Siglet Detection For Business Microscope,"
IEEE International Conference on Pervasive Computing and Communications (PerCom), pp. 147-152, Mar. 2008.
pdf
31 T. Shibasaki, H. Tamura, K. Kanda, H. Yamaguchi, J. Ogawa, and T. Kuroda,
"20-GHz quadrature injection-locked LC dividers with enhanced locking range,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 43, no. 3, pp. 610-618, Mar. 2008.
pdf
30 D. Mizoguchi, N. Miura, H. Ishikuro, and T. Kuroda,
"Constant Magnetic Field Scaling in Inductive-Coupling Data Link,"
IEICE Transactions on Electronics, Vol. E91-C, No. 2, pp. 200- 205, Feb. 2008.
pdf
29 L. Lechang, M. Takamiya, T. Sekitani, Y. Noguchi, S. Nakano,
K. Zaitsu, T. Kuroda, T. Someya, and T. Sakurai,
"A 107pJ/b 100kb/s 0.18um Capacitive-Coupling Transceiver for Printable Communication Sheet,"
IEEE International Solid-State Circuits Conference (ISSCC'08), Dig. Tech. Papers,
pp. 292-614, Feb. 2008.
28 N. Miura, Y. Kohama, Y. Sugimori, H. Ishikuro, T. Sakurai, and T. Kuroda,
"An 11Gb/s Inductive-Coupling Link with Burst Transmission,"
IEEE International Solid-State Circuits Conference (ISSCC'08), Dig. Tech. Papers, pp. 298-299, Feb. 2008.
pdf
27 黒田忠広,
"科学技術・研究開発の国際比較 2008年版,"
科学技術振興機構 , p. 8, p. 22, Feb. 2008.
pdf
26 Y. Yuxiang, Y. Yoshida, and T. Kuroda,
"Non-Contact 10% Efficient 36mW Power Delivery Using On-Chip Inductor in 0.18-um CMOS,"
電子情報通信学会集積回路研究会 , Jan. 2008.
25 N. Miura, H. Ishikuro, K. Niitsu, T. Sakurai, and T. Kuroda,
"A 0.14pJ/b inductive-coupling transceiver with digitally-controlled precise pulse shaping,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 43, no. 1, pp. 285-291, Jan. 2008.
pdf
24 T. Kuroda,
"Wireless Proximity Communications for 3D System Integration,"
IEEE International Workshop on Radio-Frequency Integration Technology (RFIT'07), pp. 21-25, Dec. 2007.
pdf
23 N. Nedovic, N. Tzartzanis, H. Tamura, F.M. Rotella, M. Wiklund, Y. Mizutani, Y. Okaniwa, T. Kuroda, J. Ogawa, and W.W. Walker,
"A 40-44 Gb/s 3× oversampling CMOS CDR/1:16 DEMUX,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 42, no. 12, pp. 2726-2735, Dec. 2007.
pdf
22 H. Ishikuro, N. Miura, and T. Kuroda,
"Wideband Inductive-coupling Interface for High-performance Portable System,"
IEEE Custom Integrated Circuits Conference (CICC'07), Dig. Tech. Papers, pp. 13-20, Sep. 2007.
pdf
21 N. Miura and T. Kuroda,
"Inductive-Coupling Transceiver for 3D System Integrarion,"
Proc. 2007 International Conference on Integrated Circuit Design and Technology (ICICDT),
pp. 1-4, May 2007.
pdf
20 黒田忠広,
"システムLSIの低電力技術,"
電子情報通信学会誌, vol. 90, no. 11, pp. 977-981, Nov. 2007.
pdf
19 吉田洋一, 三浦典之, 黒田忠広,
“2Gb/s 双方向送受信器を用いた三次元積層チップ間誘導結合インタフェースの高密度化,”
第11回システムLSIワークショップ, Nov. 2007.
18 K. Niitsu, Y. Sugimori, Y. Kohama, K. Osada, N. Irie, H. Ishikuro, and T. Kuroda,
“Interference from Power/Signal Lines and to SRAM Circuits in
65nm CMOS Inductive-Coupling Link,”
IEEE Asian Solid-State Circuits Conference (A-SSCC'07), Proc. Tech. Papers, pp. 131-134, Nov. 2007.
pdf
17 Y. Yoshida, N. Miura, and T. Kuroda,
“A 2Gb/s Bi-Directional Inter-Chip Data Transceiver with
Differential Inductors for High Density Inductive Channel Array,”
IEEE Asian Solid-State Circuits Conference (A-SSCC'07), Proc. Tech. Papers, pp. 127-130, Nov. 2007.
pdf
16 Y. Yuxiang, Y. Yoshida, and T. Kuroda,
“Non-Contact 10% Efficient 36mW Power Delivery Using On-Chip Inductor in 0.18-um CMOS,”
IEEE Asian Solid-State Circuits Conference (A-SSCC'07), Proc. Tech. Papers, pp. 115-118, Nov. 2007.
pdf
15 A. Kumar, N. Miura, and T. Kuroda,
“Capacitor-Shunted Transmitter for Power Reduction in Inductive-Coupling Clock Link,”
Solid State Devices and Materials (SSDM'07), Extended Abstracts, pp. 1068-1069, Sep. 2007.
pdf
14 Y. Yuxiang, Y. Yoshida, N. Yamagishi, and T. Kuroda,
“Chip-to-Chip Power Delivery by Inductive Coupling with Ripple Cancelling Scheme,”
Solid State Devices and Materials (SSDM'07), Extended Abstracts, pp. 502-503, Sep. 2007.
pdf
13 V. Kulkarni, M. Muqsith, H. Ishikuro, and T. Kuroda,
"A 750Mb/s 12pJ/b 6-to-10GHz Digital UWB Transmitter,"
IEEE Custom Integrated Circuits Conference (CICC'07), Dig. Tech. Papers, pp. 647-650, Sep. 2007.
pdf
12 T. Shibasaki and T. Kuroda,
"A 20-GHz Injection-Locked LC Divider with a 25-% Locking Range,"
2007 KAIST-Keio-Tsinghua International Workshop on SoC, June 2007.
11 N. Yamagishi, Y. Hori, and T. Kuroda,
"A 0.79mm2 29mW Real-Time Face Detection IP Core,"
2007 KAIST-Keio-Tsinghua International Workshop on SoC, June 2007.
10 H. Ishikuro,
"An Attachable Wireless Chip Access Interface Using Pulse-Based Inductive-Coupling through
LSI Package,"
2007 KAIST-Keio-Tsinghua International Workshop on SoC, June 2007.
9 Y. Shimazaki,
"Low Power Techniques for Mobile Processors,"
2007 KAIST-Keio-Tsinghua International Workshop on SoC, June 2007.
8 N. Miura and T. Kuroda,
"Inductive-Coupling Transceiver for 3D Chipstacks,"
2007 KAIST-Keio-Tsinghua International Workshop on SoC, June 2007.
7 吉田洋一, 黒田忠広,
"90nm CMOS 誘導結合トランシーバ,"
VDEC ASPLA応募スキーム報告会, May 2007.
6 Y. Hori and T. Kuroda,
"A 0.79-mm2 29-mW real-time face detection core,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 42, no.3, pp. 790-797, Apr. 2007.
pdf
5 三浦典之, 石黒仁揮, 桜井貴康, 黒田忠広,
"0.14pJ/b 誘導結合トランシーバ,"
電子情報通信学会技術研究報告, vol. 107, no. 1, pp. 65-69, Apr. 2007.
4 K. Niitsu, N. Miura, M. Inoue, Y. Nakagawa, M. Tago, M. Mizuno, H. Ishikuro, and T. Kuroda,
"60% Power Reduction in Inductive-Coupling Inter-Chip Link by Current-Sensing Technique,"
Japanese Journal of Applied Physics, vol. 46, no. 4B, pp. 2215-2219, Apr. 2007.
pdf
3 Y. Zhan, S. Miura, J. Nishimura, and T. Kuroda,
"Human Activity Recognition from Environmental Background Sounds for Wireless Sensor Networks,"
IEEE International Conference on Networking, Sensing and Control (ICNSC2007),
pp. 307-312, Apr. 2007.
pdf
2 T. Shibasaki, H. Tamura, K. Kanda, H. Yamaguchi, J. Ogawa, and T. Kuroda,
"18-GHz Clock Distribution Using a Coupled VCO Array,"
IEICE Trans. Electron, vol. E90-C, no. 4, pp. 811-822, Apr. 2007.
pdf
1 K. Niitsu, N. Miura, M. Inoue, Y. Nakagawa, M. Tago, M. Mizuno, T. Sakurai, and T. Kuroda,
"Daisy Chain Transmitter for Power Reduction in Inductive-Coupling CMOS Link,"
IEICE Trans. Electron, vol. E90-C, no. 4, pp. 829-835, Apr. 2007.
pdf