発表 Publication

論文一覧(2013年度)

22 Y. Take, H. Matsutani, D. Sasaki, M. Koibuchi, T. Kuroda, H. Amano,
"3-D NoC with Inductive-Coupling Links for Building-Block SiPs,"
IEEE Transactions on Computers, vol. 63, no. 3, pp. 748-763, Mar. 2014.
pdf
21 A. Kosuge, S. Ishizuka, L. Liu, A. Okada, M. Taguchi, H. Ishikuro, and T. Kuroda,
"An Electromagnetic Clip Connector for In-Vehicle LAN to Reduce Wire Harness Weight by 30%,"
IEEE International Solid-State Circuits Conference (ISSCC'14), Dig. Tech. Papers, pp. 496-497, Feb. 2014.
pdf
20 A. Kosuge, W. Mizuhara, T. Shidei, T. Takeya, N. Miura, M. Taguchi, H. Ishikuro, and T. Kuroda,
"A 0.15-mm-Thick Noncontact Connector for MIPI Using a Vertical Directional Coupler,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 49, no. 1, pp. 223-231, Jan. 2014.
pdf
19 小菅敦丈,
"前回最優秀賞の受賞者がRohde & Schwarz本社を訪問,"
日経エレクトロニクス12月23日号, pp. 45-47, Dec. 2013.
pdf
18 黒田忠広,
"実装インタコネクトの課題,"
日本信頼性学会誌, vol. 35, no. 8, p. 469, Dec. 2013.
17 黒田忠広,
"非接触インタコネクトのアプリケーション,"
日本信頼性学会誌, vol. 35, no. 8, p. 473, Dec. 2013.
16 K. Ohata, Y. Sanada, T. Ogaki, K. Matsuyama, T. Ohira, S. Chikuda, M. Igarashi, M. Ikebe, T. Asai, M. Motomura, and T. Kuroda,
"Hardware-Oriented Stereo Vision Algorithm based on 1-D Guided Filtering and its FPGA Implementation,"
IEEE International Conference on Electronics, Circuits, and Systems (ICECS), pp. 169-172, Dec. 2013.
pdf
15 N. Miura, Y. Koizumi, E. Sasaki, Y. Take, H. Matsutani, T. Kuroda, H. Amano, R. Sakamoto, M. Namiki, K. Usami, M. Kondo, H. Nakamura,
"A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface,"
IEEE Micro, Vol.33, No.6, pp.6-15, Dec 2013.
pdf
14 小菅敦丈,
"近接場電磁界を用いた非接触通信技術を開発,"
日経エレクトロニクス12月9日号, pp. 51-53, Dec. 2013.
pdf
13 A. Kosuge,
"Wireless Interconnect Technology by Near-Filed Coupling,"
Nikkei Electronics Award Trip at Rohde & Schwarz, Oct. 2013.
pdf
12 小菅敦丈,
"ワイヤレスSSDに向けた高速通信技術,"
CEATEC 2013 NE シアター, Oct. 2013.
pdf
11 Y. Miyahara, K. Ishikawa, and T. Kuroda,
"A Sub-threshold Region Operating Ultra-low Power 2.4GHz VCO and Frequency Divider,"
JSAP International Conference on Solid State Devices and Materials (SSDM'13), Sep. 2013.
pdf
10 Y. Ono, A. Raziz, and T. Kuroda,
"Adaptive Window Search Using Semantic Texton Forests For Real-time Object Detection,"
IEEE International Conference on Image Processing (ICIP), Sep. 2013.
pdf
9 N. Miura, Y. Koizumi, E. Sasaki, Y. Take, H. Matsutani, K. Usami, T. Kuroda,
H. Amano, R. Sakamoto, M. Namiki, K. Usami, M. Kondo, and H. Nakamura,
"A Scalable 3D Heterogeneous Multi-Core Processor with Inductive-Coupling ThruChip Interface,"
Hot Chips - A Symposium on High Performance Chips, Aug. 2013
8 小菅敦丈, 水原渉, 四手井綱章, 竹谷勉, 三浦典之, 田口眞男, 石黒仁揮, 黒田忠広,
"方向性結合器を用いた携帯機器用途向け0.15mm厚非接触コネクタ,"
シリコン材料・デバイス研究会(SDM)/集積回路研究会(ICD), Aug. 2013.
pdf
7 N. Lu, K. Chang, F. Chang, T. Kuroda, K. Matsudera, L. Madden, S. Borkar, T. Pawlowski, K. Sohn, E. Tsern,
"The Best Logic and Memory Interface Technology for 2D/2.5D/3D ICs,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp.C177-C178, June 2013.
pdf
6 K. Yoshioka, A. Shikata, R. Sekimoto, T. Kuroda, and H. Ishikuro,
"A 0.0058mm2 7.0 ENOB 24MS/s 17fJ/conv. Threshold Configuring SAR ADC with Source Voltage Shifting and Interpolation Technique,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. C266-C267, June 2013.
pdf
5 L. Liu, K. Ishikawa, and T. Kuroda,
"A 720uW 873MHz-1.008GHz Injection-Locked Frequency Multiplier with 0.3V Supply Voltage in 90nm CMOS,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. C140-C141, June 2013.
pdf
4 M. Nomura, A. Muramatsu, H. Takeno, S. Hattori, D. Ogawa, M. Nasu, K.Hirairi, S. Kumashiro,
S. Moriwaki, Y. Yamamoto, S. Miyano, Y. Hiraku, I.Hayashi, K. Yoshioka, A. Shikata, H. Ishikuro, M. Ahn, Y. Okuma, X. Zhang,Y. Ryu, K. Ishida, M. Takamiya, T. Kuroda, H. Shinohara, and T. Sakurai,
"0.5V Image Processor with 563 GOPS/W SIMD and 32bit CPU Using High Voltage Clock Distribution (HVCD) and Adaptive Frequency Scaling (AFS) with 40nm CMOS,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. C36-C37, June 2013.
pdf
3 小菅敦丈,
"ワイヤレスSSDに向けた高速通信技術,"
NE ジャパン・ワイヤレス・テクノロジー・アワード 2013, May 2013.
pdf
2 T. Takeya, and T. Kuroda,
"Transmission Line Coupler Design and Mixer-Based Receiver for Dicode Partial Response Communications,"
IEICE TRANS. FUNDAMENTALS, vol. E96-A, no. 5, pp. 940-946, May 2013.
pdf
1 A. Kosuge, T. Takeya, M. Shioya, M. Taguchi, and T. Kuroda,
"A 3 Gbps Non-Contact Inter-Module Link with Twofold Transmission Line Couplers and Low Frequency Compensation Equalizer,"
Japanese Journal of Applied Physics (JJAP), vol. 52, no. 4, Apr. 2013.
pdf