発表 Publication

論文一覧(2016年度)

18 板倉洋, 明星慶洋, 山田浩利, 吉子尚志, 市川愉, 小菅敦丈, 原口雅嗣, 黒田忠広,
"非接触コネクタを利用したケーブル伝送方式の基礎検討,"
電子情報通信学会総合大会, B-4-21, Mar. 2017.
pdf
17 T. Kuroda,
"System Integration in a Package for Cloud and Edge,"
IEEE Electron Devices Technology and Manufacturing (EDTM 2017), Proc. Tech. Papers, pp. 42-43, Mar. 2017.
pdf
16 松下悠亮, 増山滉一朗, 野村明生, 門本淳一郎, 四手井綱章, 黒田忠広, 天野英晴,
"誘導結合ワイヤレスチップ間接続のIP化,"
電子情報通信学会, 信学技報, vol. 116, no. 365, pp. 7-12, Dec. 2016.
pdf
15 A. Nomura, H. Matsutani, T. Kuroda, J. Kadomoto, Y. Matsushita, and H. Amano,
"Vertical Packet Switching Elevator Network Using Inductive Coupling ThruChip Interface,"
International Symposium on Computing and Networking (CANDAR'16), Conference Paper, Nov. 2016.
pdf
14 黒田忠広,
"実装技術の現状と今後の展開,"
ロボット, No.233, pp. 1-2, Nov. 2016.
13 M. Ikebe, T. Asai, M. Mori, T. Itou, D. Uchida, Y. Take, T. Kuroda, and M. Motomura,
"3D stacked image sensor featuring low noise inductive coupling channels,"
3rd International Workshop on Image Sensors and Imaging Systems (IWISS2016), pp. 15-16, Nov. 2016.
pdf
12 門本淳一郎, 宮田知輝, 天野英晴, 黒田忠広,
"An Inductive-Coupling Bus with Collision Detection Scheme Using Magnetic Field Variation for 3-D Network-on-Chips,"
IEEE SSCS Japan/Kansai Chapter A-SSCC報告会, Nov. 2016.
11 J. Kadomoto, T. Miyata, H. Amano, and T. Kuroda,
"An Inductive-Coupling Bus with Collision Detection Scheme Using Magnetic Field Variation for 3-D Network-on-Chips,"
IEEE Asian Solid-State Circuits Conference (A-SSCC 2016), Proc. Tech. Papers, pp. 41-44, Nov. 2016.
pdf
10 R. Shimizu, S.Yanagawa, Y. Monde, H. Yamagishi, M. Hamada, T. Shimizu, and T. Kuroda,
"Deep Learning Application Trial to Lung Cancer Diagnosis for Medical Sensor Systems,"
13th International SoC Design Conference (ISOCC 2016), Proceedings, pp. 194-195, Oct. 2016.
pdf
9 Ahmad Muzaffar bin Baharudin, Mika Saari, Pekka Sillberg, Petri Rantanen, Jari Soini, and Tadahiro Kuroda,
"Low-Energy Algorithm for Self-Controlled Wireless Sensor Nodes,"
The International Conference on Wireless Networks and Mobile Communications (WINCOM’16), Conference Paper, Oct. 2016.
pdf
8 H. Itakura, Y. Akeboshi, H. Yamada, H. Yoshiko, S. Ichikawa, A. Kosuge, M. Haraguchi, T. Kuroda,
"Basic Study of Non-Contact Connector for High-Speed Space Cable Transmission,"
International SpaceWire Conference (ISC 2016), Short Paper, Oct. 2016.
pdf
7 S. Hasegawa, J. Kadomoto, A. Kosuge, and T. Kuroda,
"A 1 Tb/s/mm2 Inductive-Coupling Side-by-Side Chip Link,"
European Solid-State Circuits Conference (ESSCIRC 2016), pp. 469-472, Sep. 2016.
pdf
6 J. Kadomoto, S. Hasegawa, Y. Kiuchi, A. Kosuge, and T. Kuroda,
"Analysis and Evaluation of Electromagnetic Interference between ThruChip Interface and LC-VCO,"
IEICE Trans. on Electronics, vol. E99-C, no. 6, pp. 659-662, June 2016.
pdf
5 長谷川蒼, 新谷悟, 中野慎也, 加藤智, 黒田忠広,
"コンタクトイメージセンサ向けの小面積高速オペアンプ,"
電子回路研究会, June 2016.
pdf
4 A. Kosuge, J. Kadomoto, and T. Kuroda,
"A 6 Gb/s 6 pJ/b 5mm-Distance Non-Contact Interface for Modular Smartphones Using Two-Fold Transmission Line Coupler and High EMC Tolerant Pulse Transceiver,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 6, pp. 1446-1456, June 2016.
pdf
3 T. Kuroda,
"Near-Field Coupling Integration Technology,"
ECS Transactions, 72(3) 83-91, May 2016.
2 黒田忠広,
"ICの物語,"
パリティ Vol.31 No.02, 丸善出版, Feb. 2016.
pdf
1 A. Kosuge, J. Hashiba, T. Kawajiri, S. Hasegawa, T. Shidei, H.Ishikuro, T. Kuroda, and K. Takeuchi,
"An Inductively-Powered Wireless Solid-State Drive System with Merged Error Correction of High-Speed Wireless Data Links and NAND Flash Memories,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 51, no. 4, pp. 1041-1050, Apr. 2016.
pdf