Publication 発表

Papers(2008年度)

31 N. Miura, Y. Kohama, Y. Sugimori, H. Ishikuro, T. Sakurai, and T. Kuroda,
"A High-Speed Inductive-Coupling Link with Burst Transmission,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 44, no. 3, pp. 947-955, Mar. 2009.
pdf
30 Y. Yoshida, K. Nose, Y. Nakagawa, K. Noguchi, Y. Morita, M. Tago, T. Kuroda, and M. Mizuno,
"Wireless DC Voltage Transmission Using Inductive-Coupling Channel for Highly-Parallel Wafer-Level Testing,"
Highlights of ISSCC 2009 - Beijing, China, Mar. 2009.
29 吉田洋一, 野瀬浩一, 中川源洋, 野口宏一郎, 森田泰弘, 田子雅基, 黒田忠広, 水野正之,
"Wireless DC Voltage Transmission Using Inductive-Coupling Channel for Highly-Parallel Wafer-Level Testing,"
ISSCC 2009 報告会 , Mar. 2009.
28 V. Kulkarni, M. Muqsith, K. Niitsu, H. Ishikuro, and T. Kuroda,
"A 750 Mb/s, 12 pJ/b, 6-to-10 GHz CMOS IR-UWB transmitter with embedded on-chip antenna,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 44, no. 2, pp. 394-403, Feb. 2009.
pdf
27 Y. Hanai, Y. Hori, J. Nishimura, and T. Kuroda,
"A Versatile Recognition Processor Employing Haar-Like Feature and Cascaded Classifier,"
IEEE International Solid-State Circuits Conference (ISSCC'09), Dig. Tech. Papers, pp. 148-149, Feb. 2009.
pdf
26 K. Niitsu, Y. Shimazaki, Y. Sugimori, Y. Kohama, K. Kasuga, I. Nonomura, M. Saen, S. Komatsu, K. Osada, N. Irie, T. Hattori, A. Hasegawa, and T. Kuroda,
"An Inductive-Coupling Link for 3D Integration of a 90nm CMOS Processor and a 65nm CMOS SRAM,"
IEEE International Solid-State Circuits Conference (ISSCC'09), Dig. Tech. Papers, pp. 480-481, Feb. 2009.
pdf
25 Y. Sugimori, Y. Kohama, M. Saito, Y. Yoshida, N. Miura, H. Ishikuro, T. Sakurai, and T. Kuroda,
"A 2Gb/s 15pJ/b/chip Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking,"
IEEE International Solid-State Circuits Conference (ISSCC'09), Dig. Tech. Papers, pp. 244-245, Feb. 2009.
pdf
24 Y. Yoshida, K. Nose, Y. Nakagawa, K. Noguchi, Y. Morita, M. Tago, T. Kuroda, and M. Mizuno,
“Wireless DC Voltage Transmission Using Inductive-Coupling Channel for Highly-Parallel Wafer-Level Testing,”
IEEE International Solid-State Circuits Conference (ISSCC'09), Dig. Tech. Papers, pp. 470-471, Feb. 2009.
pdf
23 J. Nishimura and T. Kuroda,
"Speaker recognition using speaker-independent universal acoustic model and synchronous sensing for Business Microscope,"
International Symposium on Wireless Pervasive Computing (ISWPC), Feb. 2009.
pdf
22 S. Kawai, T. Ikari, Y. Takikawa, H. Ishikuro, and T. Kuroda,
"A Wireless Real-Time On-chip Bus Trace System,"
in 14th Asia and South Pacific Design Automation Conference (ASP-DAC'09), Jan. 2009.
pdf
21 X. Zhu, S. Tsukamoto, and T. Kuroda,
"A 1 GHz CMOS Comparator with Dynamic Offset Control Technique,"
in 14th Asia and South Pacific Design Automation Conference (ASP-DAC'09), Jan. 2009.
pdf
20 Y. Hanai, J. Nishimura, and T. Kuroda,
"HAAR-LIKE FILTERING FOR HUMAN ACTIVITY RECOGNITION USING 3D ACCELEROMETER,"
IEEE 13th Digital Signal Processing and 5th Signal Processing Education workshop, pp. 675-678, Jan. 2009.
pdf
19 J. Nishimura and T. Kuroda,
"HAAR-LIKE FILTERING WITH CENTER-CLIPPED EMPHASIS FOR SPEECH DETECTION IN SENSORNET,"
IEEE 13th Digital Signal Processing and 5th Signal Processing Education workshop, pp. 1-4, Jan. 2009.
pdf
18 J. Nishimura, N. Sato, and T. Kuroda,
"Speaker Siglet Detection for Business Microscope,"
AMLA/IEEE 7th Interrnational Conference on Machine Learning and Applications (ICMLA), pp. 376-381, Dec. 2008.
pdf
17 J.Nishimura and T. Kuroda,
"Haar-like Filtering Based Speech Detection using Integral Signalsfor Sensornet,"
International Conference on Sensing Technology (ICST08), Proceedings, pp. 52-56, Dec. 2008.
pdf
16 S. Kawai,  T. Ikari, Y. Takikawa, H. Ishikuro, and T. Kuroda, 
"A Wireless Real-Time On-Chip Bus Trace System Using Quasi-Synchronous Parallel Inductive Coupling Transceivers," IEEE Asian Solid-State Circuits Conference (A-SSCC'08), Proc. Tech. Papers, pp. 113-116, Dec. 2008.
pdf
15 K. Niitsu, S. Kawai,  N. Miura, H. Ishikuro, and T. Kuroda, "A 65fJ/b Inductive-Coupling Inter-Chip Transceiver Using Charge Recycling Technique for Power-Aware 3D System Integration,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'08), Proc. Tech. Papers, pp. 97-100, Nov. 2008.
pdf
14 Y. Yoshida, N. Miura, and T. Kuroda,
"A 2 Gb/s bi-directional inter-chip data transceiver with differential inductors for high density inductive channel array,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 43, no.11, pp. 2363-2369, Nov. 2008.
pdf
13 J. Nishimura and T. Kuroda, "Low Cost Speech Detection using Haar-like Filtering for Sensornet," The 9th International Conference on Signal Processing (ICSP08), Proceedings, vol. 3, pp. 2608-2611, Oct. 2008. pdf
12 X. Zhu, Y. Chen, M. Kibune, Y. Tomita, T. Hamada, H. Tamura, S. Tsukamoto, and T. Kuroda,
"A dynamic offset control technique for comparator design in scaled CMOS technology,"
IEEE Custom Integrated Circuits Conference (CICC'08), Dig. Tech. Papers, pp. 495-498, Sep. 2008.
pdf
11 中川源洋, 吉田洋一, 野瀬浩一, 黒田忠広, 水野正之,
"誘導結合チップ間リンクの通信距離拡張技術,"
2008 IEICEソサイエティ大会 , Sep. 2008.
10 K. Niitsu, Y. Kohama, Y. Sugimori, K. Osada, N. Irie, H. Ishikuro, and T. Kuroda,
"Misalignment Tolerance in Inductive-Coupling Inter-Chip Link for 3D System Integration,"
International Conference on Solid-State Devices and Materials, pp. 86-87, Sep. 2008.
pdf
9 K. Niitsu, Y. Yuxiang, H. Ishikuro, and T. Kuroda,
"A 33% Improvement in Efficiency of Wireless Inter-Chip Power Delivery by Thin Film Magnetic Material,"
International Conference on Solid-State Devices and Materials, pp. 492-493, Sep. 2008.
pdf
8 V. Kulkarni and T. Kuroda,
"A 750Mb/s 12pJ/b 6-to-10GHz Digital UWB Transmitter,"
2008 KAIST-Keio-Tsinghua International Workshop on SoC, Sep. 2008.
7 K. Niitsu and T. Kuroda,
"Experimental Verification of Interference from Power/Signal Lines and to SRAM Circuits in Inductive-Coupling Inter-Chip Link,"
2008 KAIST-Keio-Tsinghua International Workshop on SoC, Sep. 2008.
6 N. Miura and T. Kuroda,
"A High-Speed Inductive-Coupling Link with Burst Transmission,"
2008 KAIST-Keio-Tsinghua International Workshop on SoC, Sep. 2008.
5 J. Nishimura and T. Kuroda,
"Speech Detection using Haar-like Filtering for Sensornet,"
2008 KAIST-Keio-Tsinghua International Workshop on SoC, Sep. 2008.
4 三浦典之, 黒田忠広,
"3次元実装のための低電力・広帯域誘導結合通信,"
エレクトロニクス実装学会誌 , vol. 11, no. 3, pp. 174-181, May 2008.
pdf
3 T. Kuroda,
"CMOS Proximity Inter-Chip Communications (Plenary Talk),"
The 5th International Workshop on Nanoscale Semiconductor Devices, Proceedings, pp. 3-45, May 2008.
2 J. Nishimura and T. Kuroda,
"Eating habits monitoring using wireless wearable in-ear microphone,"
International Symposium on Wireless Pervasive Computing (ISWPC08), pp. 130-133, May 2008.
pdf
1 Y. Yuxiang, Y. Yoshida, N. Yamagish, and T. Kuroda,
"Chip-to-Chip Power Delivery by Inductive Coupling with Ripple Canceling Scheme,"
Japanese Journal of Applied Physics (JJAP), vol. 47, no.4, Apr. 2008.
pdf