Publication 発表

Papers(2011年度)

26 H. Zhang, H. Matsutani, Y. Take, T. Kuroda, and H. Amano,
"Vertical Link On/Off Control Methods for Wireless 3-D NoCs,"
Proc. of the 25th International Conference on Architecture of Computing Systems (ARCS'12), pp. 212-224, Feb 2012.
25 W. Yun, S. Nakano, W. Mizuhara, A. Kosuge, N. Miura, H. Ishikuro, and T. Kuroda,
"A 7Gb/s/Link Non-Contact Memory Module for Multi-Drop Bus System Using Energy-Equipartitioned Coupled Transmission Line,"
IEEE International Solid-State Circuits Conference (ISSCC'12), Dig. Tech. Papers, pp. 52-53, Feb. 2012.
pdf
24 T. Abe, Y. Yuan, H. Ishikuro, and T. Kuroda,
"A 2Gb/s 150mW UWB Direct-Conversion Coherent Transceiver with IQ-Switching Carrier-Recovery Scheme,"
IEEE International Solid-State Circuits Conference (ISSCC'12), Dig. Tech. Papers, pp. 442-443, Feb. 2012.
pdf
23 Y. Take, H. Chung, N. Miura, and T. Kuroda,
"Simultaneous Data and Power Transmission using Nested Clover Coils,"
17th Asia and South Pacific Design Automation Conference (ASP-DAC'12), Proceedings, pp. 555-556, Jan. 2012.
pdf
22 黒田忠広,
"磁界結合による無線インタコネクション技術,"
電子情報通信学会誌, vol. 94, no. 12, pp. 1041-1045, Dec. 2011.
pdf
21 T. Kuroda,
"Proximity IOs Using Inductive Coupling,"
IEEE International Symposium on Radio-Frequency Integration Technology (RFIT'11), pp. 37-40, Nov. 2011.
pdf
20 T. Kuroda,
"How to write a good ISSCC paper (Tutorial),"
IEEE Asian Solid-State Circuits Conference (A-SSCC'11), Nov. 2011.
19 K. Tomita, R. Shinoda, T. Kuroda, and H. Ishikuro,
"1W 3.3V-to-16.3V Boosting Wireless Power Transfer Circuits with Vector Summing Power Controller,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'11), Proc. Tech. Papers, pp. 177-180, Nov. 2011.
pdf
18 A. Radecki, N. Miura, H. Ishikuro, and T. Kuroda,
"Rotary coding for power reduction and S/N improvement in inductive-coupling data communication,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'11), Proc. Tech. Papers, pp. 205-208, Nov. 2011.
pdf
17 W-J. Yun, H. Ishikuro, and T. Kuroda,
"A 0.6V Noise Rejectable All-Digital CDR with Free Running TDC for a Pulse-Based Inductive-Coupling Interface,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'11), Proc. Tech. Papers, pp. 145-148, Nov. 2011
pdf
16 N. Miura,
"Non-Contact Interface for 3D Memory System (Tutorial),"
IEEE Asian Solid-State Circuits Conference (A-SSCC'11), Nov. 2011.
15 三浦典之,
"側壁絶縁シリコンチップ試作,"
ナノテクノロジー・ネットワーク平成23年度成果報告会, Nov. 2011.
14 Y. Take, N. Miura, and T. Kuroda,
"A 30Gb/s/Link 2.2Tb/s/mm2 inductively-coupled injection-locking CDR for high-speed DRAM interface,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 46, no. 11, pp. 2552-2559, Nov. 2011.
pdf
13 K. Niitsu, Y. Sugimori, Y. Kohama, K. Osada, N. Irie, H. Ishikuro and T. Kuroda,
"Analysis and Techniques for Mitigating Interface From Power/Signal Lines and to SRAM Circuits in CMOS Inductive-Coupling Link for Low-Power 3-D System Integration,"
IEEE Transaction on Very Large Scale Integration (VLSI) Systems, vol. 19, no. 10, Oct. 2011.
pdf
12 T. Kuroda,
"ThruChip Interface (TCI) for 3D Networks on Chip,"
2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, pp. 238-241, Oct. 2011.
pdf
11 M. Saito, N. Miura, and T. Kuroda,
"Asynchronous Pulse Transmitter for Power Reduction in ThruChip Interface,"
International Conference on Solid-State Devices and Materials (SSDM), Sep. 2011.
pdf
10 R. Sekimoto, A. Shikata, T. Kuroda, and H. Ishikuro,
"A 40nm 50S/s - 8MS/s Ultra Low Voltage SAR ADC with Timing Optimized Asynchronous Clock Generator,"
2011 European Solid-State Circuits Conference (ESSCIRC'11), Dig. Tech. Papers,
pp. 12-16, Sep. 2011.
pdf
9 J. Nishimura and T. Kuroda,
"Human Action Recognition Using Wireless Wearable In-Ear Microphone,"
IEEJ, vol.131, no.9, Sec.C, pp.1570-1576, 2011.
pdf
8 小野友己, 黒田忠広,
"顔検出における識別コストの削減および検出精度向上のための特徴分割SVM,"
MIRU2011 画像の理解・認識シンポジウム, July 2011.
7 A. Shikata, R. Sekimoto, T. Kuroda, and H. Ishikuro,
"A 0.5V 1.1MS/sec 6.3fJ/conversion-step SAR-ADC with Tri-Level Comparator in 40nm CMOS,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 262-263, June 2011.
pdf
6 H. Ishizaki, H. Ikeda, Y. Yoshida, T. Maeda, T. Kuroda, and M. Mizuno,
"A Battery-less WiFi-BER modulated data transmitter with ambient radio-wave energy harvesting,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 162-163, June 2011.
pdf
5 黒田忠広,
"自然界の集団同期現象をエレクトロニクスに応用,"
日経エレクトロニクス6月13日号, pp. 85-94, June 2011.
pdf
4 竹谷勉, Nan Lan, 中野慎也, 三浦典之, 石黒仁揮, 黒田忠広,
"A 12Gb/s Non-Contact Interface with Coupled Transmission Lines,"
IEEE SSCS Kansai Chapter Technical Seminar, May 2011.
3 H. Matsutani, Y. Take, D. Sasaki, M. Kimura, Y. Ono, Y. Nishiyama, M. Koibuchi, T. Kuroda, and H. Amano,
"A Vertical Bubble Flow Network using Inductive-Coupling for 3-D CMPs,"
Proc. of the 5th ACM/IEEE International Symposium on Networks-on-Chip (NOCS'11), pp. 49-56, May 2011.
pdf
2 竹谷勉, Nan Lan, 中野慎也, 三浦典之, 石黒仁揮, 黒田忠広,
"A 12Gb/s Non-Contact Interface with Coupled Transmission Lines,"
集積回路研究会(ICD), Apr. 2011.
pdf
1 N. Miura, T. Shidei, Y. Yuan, S. Kawai, K. Takatsu, Y. Kiyota, Y. Asano, and T. Kuroda,
"A 0.55V 10fJ/bit inductive-coupling data link and 0.7V 135fJ/cycle clock link with dual-coil transmission scheme,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 46, no. 4, pp. 965-973, Apr. 2011.
pdf