Publication 発表

Papers(2014年度)

13 小菅敦丈, 石塚秀, 門本淳一郎, 黒田忠広,
"A 6Gb/s 6pJ/b 5mm-Distance Non-Contact Interface for Modular Smartphones
Using Two-Fold Transmission Line Coupler and EMC-Qualified Pulse Transceiver,"
IEEE SSCS Japan/Kansai Chapter ISSCC報告会, Mar. 2015.
12 A. Kosuge, S. Ishizuka, M. Abe, S. Ichikawa, and T. Kuroda,
"A 6.5Gb/s Shared Bus using Electromagnetic Connectors for Downsizing and Lightening Satellite Processor System by 60%,"
IEEE International Solid-State Circuits Conference (ISSCC'15), Dig. Tech. Papers, pp. 434-435, Feb. 2015.
pdf
11 A. Kosuge, S. Ishizuka, J. Kadomoto, and T. Kuroda,
"A 6Gb/s 6pJ/b 5mm-Distance Non-Contact Interface for Modular Smartphones Using Two-Fold Transmission Line Coupler and EMC-Qualified Pulse Transceiver,"
IEEE International Solid-State Circuits Conference (ISSCC'15), Dig. Tech. Papers, pp. 176-177, Feb. 2015.
pdf
10 L. Hsu, Y. Take, A. Kosuge, S. Hasegawa, J. Kadomoto, and T. Kuroda,
"Design and Analysis for ThruChip Design for Manufacturing (DFM),"
20th Asia and South Pacific Design Automation Conference (ASP-DAC'15), Proceedings, pp. 46-47, Jan. 2015.
pdf
9 A. Okada, A. Raziz Junaidi, Y. Take, A. Kosuge, and T. Kuroda,
"Circuit and Package Design for 44GB/s Inductive-Coupling DRAM/SoC Interface,"
20th Asia and South Pacific Design Automation Conference (ASP-DAC'15), Proceedings, pp. 44-45, Jan. 2015.
pdf
8 T. Kuroda,
"Circuit and Device Interactions for 3D Integration Using Inductive Coupling,"
IEEE International Devices Meeting (IEDM 2014), Proc. Tech. Papers,
18.6.1-18.6.4, Dec. 2014.
pdf
7 A. Raziz Junaidi, Y. Take, and T. Kuroda,
"An Inductive-Coupling Memory/Processor Interface Using Overlapping Coils with Phase Division Multiplexing and Ultra-Thin Fan-Out Wafer Level Package,"
Malaysia-Japan Academic Scholar Conference(MJASC), Session M14-P18-A, Nov. 2014.
6 岡田晃, 小菅敦丈, 黒田忠広,
"近接場結合を用いたLSIとモジュールの三次元集積,"
電子情報通信学会論文誌, pp. 378-385, Nov. 2014.
pdf
5 T. Kuroda,
"3D Integration by Inductive Coupling,"
Proc. IEEE Custom Integrated Circuits Conf. (CICC), Session 11-3, Sep. 2014.
4 D. Ditzel, T. Kuroda, and S. Lee,
"Low-Cost 3D Chip Stacking with ThruChip Wireless Connections,"
Hot Chips - A Symposium on High Performance Chips, Aug. 2014.
3 岡田晃, 小菅敦丈, 石塚秀, 劉楽昌, 田口眞男, 石黒仁揮, 黒田忠広,
"車載LAN向け非接触コネクタ及び高ノイズ耐性送受信回路,"
電子情報通信学会技術研究報告, pp. 23-27, Oct. 2014.
pdf
2 A. Raziz Junaidi, Y. Take, and T. Kuroda,
"A 352Gb/s Inductive-Coupling DRAM/SoC Interface Using Overlapping Coils with Phase Division Multiplexing and Ultra-Thin Fan-Out Wafer Level Package,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 29-30, June 2014.
pdf
1 小菅敦丈, 石塚秀, 劉楽昌, 岡田晃, 田口眞男, 石黒仁揮, 黒田忠広,
"An Electromagnetic Clip Connector for In-Vehicle LAN to Reduce Wire Harness Weight by 30%,"
IEEE SSCS Japan/Kansai Chapter ISSCC報告会, May. 2014.
pdf