Publication 発表

Papers(2010年度)

25 黒田忠広,
"ワイヤレス給電の将来展望,"
OHM, pp. 4-5, Mar. 2011.
pdf
24 T. Takeya, L. Nan, S. Nakano, N. Miura, H. Ishikuro, and T. Kuroda,
"A 12Gb/s Non-Contact Interface with Coupled Transmission lines,"
IEEE International Solid-State Circuits Conference (ISSCC'11), Dig. Tech. Papers, pp. 492-493, Feb. 2011.
pdf
23 N. Miura, Y. Take, M. Saito, Y. Yoshida, and T. Kuroda,
"A 2.7Gb/s/mm2 0.9pJ/b/Chip 1Coil/Channel ThruChip Interface with Coupled-Resonator-Based CDR for NAND Flash Memory Stacking,"
IEEE International Solid-State Circuits Conference (ISSCC'11), Dig. Tech. Papers, pp. 490-491, Feb. 2011.
pdf
22 A. Radecki, H. Chung, Y. Yoshida, N. Miura, T. Shidei, H. Ishikuro, and T. Kuroda,
"6W/25mm2 Inductive Power Transfer for Non-Contact Wafer-Level Testing,"
IEEE International Solid-State Circuits Conference (ISSCC'11), Dig. Tech. Papers, pp. 230-231, Feb. 2011.
pdf
21 T. Matsubara, I. Hayashi, A. H.Johari, S. Kumaki, K. Kohira, T. Kuroda, and H. Ishikuro,
"An 0.5V, 0.91pJ/bit, 1.1Gb/s/ch transceiver in 65nm CMOS for high-speed wireless proximity interface,"
2011 IEEE Radio & Wireless Symposium, Phoenix, Arizona, USA, Jan. 16-19, 2011.
pdf
20 Y. Take, N. Miura, and T. Kuroda,
"A 30Gb/s/link 2.2Tb/s/mm2 Inductively-Coupled Injection-Locking CDR,"
IEEE SSCS Kansai Chapter Technical Seminar, Dec. 2010.
19 Y. Chen, S. Tsukamoto, and T. Kuroda,
"A 9-bit 100-MS/s 1.46-mW Tri-Level SAR ADC in 65nm CMOS,"
IEICE Trans. Fundamentals, vol. E93-A, no. 12, Dec. 2010.
18 黒田忠広,
"近接場ワイヤレス通信が拓く3次元実装,"
NEW MEDIA, 1-2011, p. 27, Dec. 2010.
pdf
17 X. Zhu, Y. Chen, M. Kibune, Y. Tomita, T. Hamada, H. Tamura, S.
Tsukamoto, and T. Kuroda,
"A Dynamic Offset Control Technique for Comparator Design in Scaled CMOS Technology,"
IEICE Trans. on Fundamental of Electronics, vol.E93-A, no.12, pp. 2456-2462, Dec. 2010.
pdf
16 J. Nishimura, and T. Kuroda,
"Multiaxial Haar-Like Feature and Compact Cascaded Classifier for Versatile Recognition,"
IEEE Sensors Journal, vol. 10, no. 11, pp. 1786-1795, Nov. 2010.
pdf
15 黒田忠広,
"ワイヤレス給電,"
電子情報通信学会誌, vol. 93, no. 11, pp. 964-967, Nov. 2010.
pdf
14 I. Hayashi, T. Matsubara, S. Kumaki, A.-H. Johari, H. Ishikuro, and T. Kuroda,
"A Phase-to-Digital Convertar for Wide Tuning Range and PVT Tolerant ADPLL Operationg Down to 0.3V,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'10), Proc. Tech. Papers, pp. 225-228, Nov. 2010.
pdf
13 Y. Take, N. Miura, and T. Kuroda,
"A 30Gb/s/link 2.2Tb/s/mm2 Inductively-Coupled Injection-Locking CDR,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'10), Proc. Tech. Papers, pp. 81-84, Nov. 2010.
pdf
12 K. Takatsu, K. Niitsu, T. Shidei, N. Miura, and T. Kuroda,
"A 0.45V-to-2.7V Inductive-Coupling Level Shifter,"
IEEE Asian Solid-State Circuits Conference (A-SSCC'10), Proc. Tech. Papers, pp. 205-208, Nov. 2010.
pdf
11 H. Ishikuro, and T. Kuroda,
"Wireless proximity interfaces with a pulse-based inductive coupling technique,"
IEEE Communications Magazine, vol. 48, no. 10, pp. 192-199, Oct. 2010.
pdf
10 Y. Yoshida, K. Nose, Y. Nakagawa, K. Noguchi, Y. Morita, M. Tago, M. Mizuno, and T. Kuroda,
"An inductive-coupling DC voltage transceiver for highly-parallel wafer-level testing,"
IEEE Journal of Solid-State Circuits (JSSC), vol. 45, no. 10, pp. 2057-2065, Oct. 2010.
pdf
9 K. Takatsu, H. Tamura, T. Yamamoto, Y. Doi, K. Kanda, T. Shibasaki, and T. Kuroda,
"A 60-GHz 1.65mW 25.9% Locking Range Multi-Order LC Oscillator Based Injection Locked Frequency Divider in 65nm CMOS,"
IEEE Custom Integrated Circuits Conference (CICC'10), Dig. Tech. Papers, pp. 653-656, Sep. 2010.
pdf
8 T. Takeya, K. Sunaga, K. Yamaguchi, H. Sugita, Y. Yoshida, M. Mizuno, and T. Kuroda,
"A 6Gb/s Receiver With Discrete-Time Based Channel Filtering For Wireline FDM Communications,"
IEEE Custom Integrated Circuits Conference (CICC'10), Dig. Tech. Papers, pp. 173-176, Sep. 2010.
pdf
7 M. Saito, Y. Yoshida, N. Miura, and T. Kuroda,
“47% Power Reduction and 91% Area Reduction in Inductive-Coupling Programmable Bus for NAND Flash Memory Stacking”,
IEEE Circuits and Systems I (TCAS-I), vol. 57, Issue 9, pp. 2269–2278, Sep. 2010.
pdf
6 K. Niitsu, Y. Kohama, Y. Sugimori, K. Kasuga, K. Osada, N. Irie, H.Ishikuro, and T. Kuroda,
"Modeling and Experimental Verification of Misalignment Tolerance in Inductive-Coupling Inter-Chip Link for Low-Power 3-D System Integration,"
IEEE Trans. On Very Large Scale Integration (VLSI) Systems, vol. 18, no. 8, pp. 1238-1243, Aug. 2010.
pdf
5 黒田忠広,
"2025年の半導体技術と産業と日本,"
第37回STARCアドバンスト講座 , pp. 141-149, July 2010.
4 黒田忠広,
"高性能・超低電力短距離ワイヤレス可動情報システムの創出,"
情報処理 ,vol. 51, no. 7, pp. 861-869, July 2010.
pdf
3 N. Miura, T. Shidei, Y. Yuan, S. Kawai, K. Takatsu, Y. Kiyota, Y. Asano, and T. Kuroda,
"A 0.7V 20fJ/bit Inductive-Coupling Data Link with Dual-Coil Transmission Scheme,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 201-202, June 2010.
pdf
2 Y. Yuan, A. Radecki, N. Miura, I. Aikawa, Y. Take, H. Ishikuro, and T. Kuroda,
"Simultaneous 6Gb/s Data and 10mW Power Transmission using Nested Clover Coils for Non-Contact Memory Card,"
IEEE Symposium on VLSI Circuits, Dig. Tech. Papers, pp. 199-200, June 2010.
pdf
1 Yi Zhan, J. Nishimura, and T. Kuroda,
"Human Activity Recognition from Environmental Background Sounds for Wireless Sensor Networks,"
IEEJ, vol. 130, no. 4 pp. 565-572, Apr. 2010.